Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by masoud.malekzadeh

  1. M

    Isim elaboration message

    Hi , In my Design I need a Ram that its length is 80*32bit , when i simulate it whit Isim I get this unending elaboration field , but when I decrease its length it works , any idea how could i solve this issue ? Thanks .
  2. M

    [SOLVED] Repeating generate for n times

    I want to design a processor that one part of which should be like this W(k)=Pre_W(k)-3*W(k-1) pre_W(k)=∑x1(i)[x1(i)*W(k-1)+x2(i)*W(k-1)]^3 i=0 to 7 and my arithmetic operations are all floating point so i should port map them which i cant use process , to perform this I write the code...
  3. M

    Using generate in another generate

    by doing that my code is like this for j in 0 to 7 generate for i in 0 to 7 generate s74:multiplier port map (a =>z1(i),b=>w1(j),clk => clk,result =>pre1(i)); s75:multiplier port map (a =>z2(i),b=>w2(j),clk => clk,result =>pre2(i)); s76: adder port map (a =>pre1(i),b=>pre2(i),clk =>...
  4. M

    Using generate in another generate

    s74:multiplier port map (a =>z1(i),b=>w1(0),clk => clk,result =>pre1(i)); s75:multiplier port map (a =>z2(i),b=>w2(0),clk => clk,result =>pre2(i)); s76: adder port map (a =>pre1(i),b=>pre2(i),clk => clk,result =>pre3(i)); s77:multiplier port map (a =>pre3(i),b=>pre3(i),clk => clk,result...
  5. M

    [SOLVED] Implementation of an algorithm

    s74:multiplier port map (a =>z1(i),b=>w1(0),clk => clk,result =>pre1(i)); s75:multiplier port map (a =>z2(i),b=>w2(0),clk => clk,result =>pre2(i)); s76: adder port map (a =>pre1(i),b=>pre2(i),clk => clk,result =>pre3(i)); s77:multiplier port map (a =>pre3(i),b=>pre3(i),clk => clk,result...
  6. M

    Using generate in another generate

    Then what should i do in order to repeat the generate for several times ,? if after finishing the generate one signal changes the generate will run again ? for example the generate belows finishes for the first time if i update D(i) , the generate will start again ? for .... generate...
  7. M

    Using generate in another generate

    yes , i'm so confused about it .
  8. M

    [SOLVED] Implementation of an algorithm

    I mean any suggestion how to implement it In Vhdl ? Thanks
  9. M

    [SOLVED] Implementation of an algorithm

    I want to design a processor that one part of which should be like this W(k)=Pre_W(k)-3*W(k-1) pre_W(k)=∑x1(i)[x1(i)*W(k-1)+x2(i)*W(k-1)]^3 i=0 to 7 and my arithmetic operations is floating point i should port map them using components . thanks .
  10. M

    Using generate in another generate

    I want to design a processor that one part of which should be like this W(k)=Pre_W(k)-3*W(k-1) pre_W(k)=∑x1(i)[x1(i)*W(k-1)+x2(i)*W(k-1)]^3 i=0 to 7 and my arithmetic operations is floating point i should port map them using components . thanks .
  11. M

    Using generate in another generate

    HI , is it possible to use a generate statement inside another generate statement ? here is my code but my pre1 and pre2 types are undefined . gen15:for j in 1 to 7 generate gen12:for i in 0 to 7 generate s74:multiplier port map (a =>z1(i),b=>ww1(j-1),clk => clk,result =>pre1(i)); -------...
  12. M

    ISE Simulator (ISim) - Failed to communicate with child process

    Whenever I attempt to launch ISim from the ISE design tools, I get a prolonged "elaboration" message followed with an error as shown below: "ERROR: The simulation failed to launch for the following reason: Failed to communicate with child process. Please shut down ISim and retry the...
  13. M

    Designing a processor that is using several blocks

    Re: Using file procedure yes i mean i create an entity for each of them then portmap them will it work ?
  14. M

    Designing a processor that is using several blocks

    Re: Using file procedure real design , if i declare these blocks as components then use them will it work ?

Part and Inventory Search

Back
Top