Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by marcelos

  1. M

    Resource and execution time estimation without FPGA board

    Hi, thanks for the answer. Yes, I planned the implementation in the form of RTL diagrams before I started coding. I have some estimation on resources in the form of recquired memory bits for video frame storage and fixed-point numbers. But I don't know exactly how to estimate logic elements...
  2. M

    Resource and execution time estimation without FPGA board

    Hello. I design, compile and make testbenchs to my VHDL project without using Quartus (I use a script that runs ModelSim command lines), so I don't use analysis or synthesis. I don't know if it is that simple, but how can I estimate the resource usage for a design? It is kinda big, with 1000...
  3. M

    [SOLVED] How to use real numbers in VHDL?

    Thanks to everyone who helped. I've managed to use the fixed-point package from **broken link removed** which also has a math pack with a exponential function, what will also be very useful. The problem is that you can't see clearly the numbers you are working with, because the package works...
  4. M

    [SOLVED] How to use real numbers in VHDL?

    Thanks for all the answers. I'm still having some issues. I have added the files of the fixed-point arithmetic to the project, like **broken link removed** says (I'm using the same version of Quartus) and added the lines use ieee.fixed_float_types.all; use ieee.fixed_pkg.all; like the user...
  5. M

    [SOLVED] How to use real numbers in VHDL?

    I have seen this link before. I had some issues on compiling the library, but I will try harder. Didn't really thought about that. I've said floating-point because of the common FLOAT type in C and other languages. More a matter of common use. But I think that fixed point is enough. I have to...
  6. M

    [SOLVED] How to use real numbers in VHDL?

    I see. But how do I implement this on the VHDL code? Is there a library with the IEEE 754 standard pre-defined, that creates a FLOAT type? I thought that it would be really easy to work with numbers like these on VHDL, but the more I search about the more I get lost.
  7. M

    [SOLVED] How to use real numbers in VHDL?

    Hi. I'm working on a digital video evaluation project and trying to implement the evaluation process on a FPGA using VHDL. The problem is: the equations that our project uses to rate the videos are a bit complex, with a lot of multiplications (of positive and negative numbers with decimal...

Part and Inventory Search

Back
Top