Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by manfer

  1. M

    Looking for information about co-design techniques

    Re: codesign thanks afraa for your reply I know this item is older, but right know is a very good topic becouse there are some final results about this investigation that can be useful in real application maybe you have some criteria to illustrated about this opinion thanks
  2. M

    codesign in embedded systems

    For know I want to identify the differents algorithms and methods for codesign in different situation like improve speed, power, area. I want to know if there are a document that help me with different kinds of project, but specially with projects that includes fpga in the part of hardware...
  3. M

    codesign in embedded systems

    Hy I new in this subject and I want to know if anybody know a book or articles that help me in co-design in embedded systems. If anybody can suggest me some goods introduction books or papers; I`ll appreciate it. Thanks for your answer
  4. M

    Looking for information about co-design techniques

    Hy i'm doing an investigation of co design techniques but i can't found much articles or books of about this subject. If anybody know something about this items or work on this line. I will appreciate any help. thanks
  5. M

    generic multiplexer with input vector

    hy shawndaking thanks for your answer, but I think you didn't understand my question. I want to do a implemetation of mux with variable number of inputs but using the function generic but not with the function generated. If you have somethink like that i'll appreciate your reply thanks
  6. M

    generic multiplexer with input vector

    I want to design the next multiplexer. A truly generate mux where n represents the number of bits of the selection input (sel), while n indicates the number of bits per input. the circuit has 2^n inputs. How can i solve this problem using generic function thanks for your answers
  7. M

    Difference bettewen floorplanning and placement

    yes I understand your explanation thanks for all
  8. M

    Difference bettewen floorplanning and placement

    Thanks for the information def_fuhrer. I found something like that in a asic book but I didn't really understand at all. Thanks again
  9. M

    Difference bettewen floorplanning and placement

    Hy I new in this forum and I'm a little confused with the concepts of floorplanning and placement. If anybody have some source about this items or know about the difference. I'll appreciate it. excuse me for my English writing
  10. M

    Looking for documents about co-design techniques

    Hy everybody Im new in this forum, and now I'm working in co-design techniques. I want to know if someone have any document or link with some information of this toppics
  11. M

    design flow fpga prototype asic design

    Hy I'm looking for a design flow for fpga prototype to asic design. I want to know what are the constraints that exist and what is the diference between block ip for fpga and for asic

Part and Inventory Search

Back
Top