Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by louis0206

  1. L

    VHDL@@@ how to turn on led depending on output condition!!!!!!!!!!!!!

    THANKS ALOT for the help! The board i'm using is " MAX7000S EPM7128SLC84-7 ":-D
  2. L

    VHDL@@@ how to turn on led depending on output condition!!!!!!!!!!!!!

    Theses are the codes to display the value 0-9 in the bcd counters: Thanks in advance library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity bcd_counter is port ( clk, reset_bar : in std_logic; q : out std_logic_vector (3 downto 0)); end bcd_counter...
  3. L

    VHDL@@@ how to turn on led depending on output condition!!!!!!!!!!!!!

    How do i display the values 0 to 9 on the 7-segment display and whenever the count is 1, 3 or 5, a Led will turn on. using vhdl NEED HELP!! i was able to display the values 0to9 on the 7 segment display. But the important part is when the count is 1, 3 or 5, the led has to light up. What is...

Part and Inventory Search

Back
Top