Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by lithium

  1. L

    [SOLVED] Rising and Falling Edge Detection using SystemVerilog Macros?

    I figured it out.... We have to use in``F // Rising Edge Detection Logic `define RISE_EDGE_DET(out, in, clock, rst_b) \ begin \ logic in``F; \ `MYFF (in``F, in, clock, rst_b) \ // Rising edge detection...
  2. L

    [SOLVED] Rising and Falling Edge Detection using SystemVerilog Macros?

    I was trying to write a macro for this but ran into problems when I wrote one for falling edge because of re-declaring intermediate logic // Rising Edge Detection Logic `define RISE_EDGE_DET(out, in, clock, rst_b) \ begin \ logic inF; \ `MYFF (inF, in...
  3. L

    Building FFT in VHDL _ Help needed

    radix 4 fft vhdl Designing something similar in Verilog. The application would be to transmit mpeg2 content using UWB. Any idea on the number of points and radix to use? Thanks in advance
  4. L

    Least Recently Used(LRU) based I/O Bus Arbiter using Verilog

    bus arbiter verilog Hi all, have a project which is described as follows: Multiple units in a system can share a bus but only one unit can use it on any clock cycle. Unit that wants to use the bus make a request and then receive the “GRANT” signal at the start of the next clock cycle...
  5. L

    Project ideas for VLSI tsmc.18micron for a group of 4 people

    Re: Project ideas for VLSI tsmc.18micron for a group of 4 pe Hi Pinkesh2001, Domain would be digital logic Lithium
  6. L

    Project ideas for VLSI tsmc.18micron for a group of 4 people

    Hi all, I am planning to fo a VLSI project with 3 others. the basic requirement is to use the TSMC 0.18micron technology and hit a speed of 1.25Ghz. Kindly suggest some ideas for the project considering that 4 people will be working on it for 2 months. Thanks in advance, Lithium
  7. L

    Which tool can generate VLSI layout from VHDL code?

    Hi guys, was just wondering... can i use a tool to get a gate level or even switch level functional diagram of the VHDL code. i think leo*nardo would do it. once i have this, i can use the place & route tools in the VLSI layout editor to build the necessary layout. wanted to know if this is...
  8. L

    Which tool can generate VLSI layout from VHDL code?

    Hi gentle_man & khouly, so u mean to say that first i convert the VHDL code to gate level using a tool like leonardo. then use place & route tool of the vlsi layout software to get the required layout? also the cell library which u mentioned. i use tan*ner l-edit, s-edit ,etc. we have a...
  9. L

    Which tool can generate VLSI layout from VHDL code?

    Hi, I wanted to know which tool is capable of generating a VLSI layout if a VHDL code is supplied? Some documentation about this would be helpful. I know that such tools would not be free, but if anyone knows something similar tool, it'd be great. regards, Lithium.
  10. L

    file transfer between 2 PC project! Need your help!

    file transfer between two computers using vb.net hi, had written a similar program & have posted the source code in this forum. its at... **broken link removed** regards, Lithium.
  11. L

    How to copy java applications to Nokia 7650?

    hi, the 7650 has an infra red port. so u can install the mobile software from nokia.com & transfer the java applications through an infra-red port from a computer. i hav tried that it & it works great...no cables...no hassels. hope it helps, regards, lithium
  12. L

    VLSI Project Ideas.....Anyone?

    hi, thanx Ace-X, i looked into the site & found many things. will decide on one soon. thanx again, regards, lithium.
  13. L

    Pls reply here, if you use SystemC

    hi, i used systemC as part of my course project. dont know a great deal about it buthave done a small project using systemC library and VC++ 6.0. regards,
  14. L

    VLSI Project Ideas.....Anyone?

    vlsi project ideas Hi all, have to do a project in VLSI using L-Edit tool. I am a beginner and have around 3 months to complete it. Can you suggest a few projects so that i can brainstorm and decide on one of them. if u could provide any good links to sites, then it would be great. thanx a...
  15. L

    File transfer with null modem using IdleRQ protocol

    Hi all, wrote a small program for file transfer between 2 computers using RS232 interface as a null modem. the protocol used is idleRQ. thought i should share it here. have tried transferring small files but seemed to be a problem when i transmit large files....dont have the time to fix it...

Part and Inventory Search

Back
Top