Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by lgdly

  1. L

    How to store a matrix in a ROM in VHDL?

    It's not going to be synthezised, only simulated. And thank you for your suggestion that's how I will implement it.
  2. L

    How to store a matrix in a ROM in VHDL?

    Hello, I am using an asynchronous-read ROM, to store a matrix. It has to be parametrizable, so the columns and rows have to be defined by generics. I was wondering how I can store something of a different type in each address. I have only previously stored a binary number at each address in...
  3. L

    How to read the output of a module in VHDL (Xilinx) and use this as input?

    Is there any way around point a without using VHDL 2008? Do you mind expanding point b please? I'm new to VHDL. Thanks ie creating a c_local signal, is this an internal signal inside module X which is then assigned to module X output?
  4. L

    How to read the output of a module in VHDL (Xilinx) and use this as input?

    Lets say that the top level contains module X. Module X has inputs A, B of std_logic_vector and outputs of C and D (also std_logic_vector). Module X contains within its architecture (using component/direct instantiation) Module Y. Module Y has inputs M, N of std_logic_vector and outputs E, F...

Part and Inventory Search

Back
Top