Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kungfu007

  1. K

    All Free Xilinx Training Material Available Here Now...

    Please request from below site:- **broken link removed**
  2. K

    Help require on verilog

    case(f4Cnt) 'd0: begin a <= b+1; f4Cnt <= f4Cnt+1; end 'd1: begin a <= b+1; f4Cnt <= f4Cnt+1; end 'd2, 'd3, 'd4, 'd5: begin a <= c+1; f4Cnt <= f4Cnt+1; end default: begin a <= 'd0; f4Cnt <= 'd0; end endcase ... The question is what the operation will be in state...
  3. K

    Looking for FPGA/Embedded Software Development Tutor

    I have the embedded system design & linux software tutorial from Xilinx. Pls email busdoctor08@gmail.com I will send you the complete material if u willing to pay me. :)
  4. K

    FPGA final year project for EE/electronic engineering

    fpga final year project FPGA Final Year Project Service for degree/master student in Malaysia. - Completed design source code (VHDL + Verilog) - Fully description on Project. - Proven Working Design. - Reduce your time on debugging without good knowledge in FPGA - On-site train you the whole...
  5. K

    VHDL Hard Syntax (question about a string)

    VHDL Hard Syntax write(data_line, string'(",")); What is the string' for? ' is ??
  6. K

    Simulation Warning from ModelSim.

    # ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). How to disable this warning message? Why it trying to complain for? Thanks.
  7. K

    Anybody can share Xilinx Training documents?

    system generator improve timing I got whole set of training manual. If you want, please kindly contact me. Thanks. *private message" are welcome.
  8. K

    How to convert integer into logic vector using a function in numeric_std?

    in library declaration: library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; How to convert integer into logic vector by using function in numeric_std? As i known, integer-> logic vector availabe in library std_logic_arith. But , we're not allow...
  9. K

    @error: Identifier "gt11" is not directly visible

    I'm running simulation using modelsim. Below is the error message:- # ** Error: C:/p4_Perforce1666_SG-CLLEE-NB/Hardware/Bus_Doctor_Pods/DR-PCIE/FPGA/src/frontend/mgt/mgt.vhd(167): (vcom-1078) Identifier "gt11" is not directly visible. # Potentially visible declarations are: #...
  10. K

    assign statement (Blocking & Non Blocking)

    1) Non Blocking Assign assign a <= b; 2) Blocking Assign assign a = b; What is the actual difference between case 1 and case 2? All the Verilog Coding Standard suggest case 2 as formal way.
  11. K

    Need signal integrity for high-speed memory and processor I/O training materials

    I'm looking for signal integrity for high-speed memory and processor I/O training material from xilinx. If you have one copy, please share with me.

Part and Inventory Search

Back
Top