Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kunaraj

  1. K

    how to begin to learn VHDL

    I am attaching a ebook through which you can learn easily.
  2. K

    Verilog code error I can't define!

    The coding style is wrong. Don't use this kind of "FOR" loop and you cannot synthesize. Better change the logic and then try. With the combination of XOR gate and a counter you can achieve this..

Part and Inventory Search

Back
Top