Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by krpz

  1. K

    Digital Signal Processing Project ideas?

    Hello. I have take a module at my university about digital signal processing and my professor gave us two alternatives, a written examination or a project. I think the project is more interesting. But i need to propose to my professor project ideas and he is going to evaluate them. Can you help...
  2. K

    pic18 instruction set question

    i have a question about pic18 instruction set. Why we need 16bits instruction set even thought pic18 have only 75 instrutions ?
  3. K

    vhdl and unknown values

    Hello, i have a question about vhdl and unknown values, I wonder if in structural vhdl we need to write some extra code in order to deal with unknown values.For example in this piece of code: Agt <= (a and pr_a)or (a and (not b)) or ((not b) and pr_a); i read somewhere that the and, or, xor...
  4. K

    4 bit comparator vhdl problem

    Hello, i want to make a structual description of a 4bit comparator using GENERATE stratements. my code is this: LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY temp1 IS port(Ain,Bin : in std_logic_vector(4 downto 0); PrevA,PrevB: in std_logic; AgB, AlB: out...

Part and Inventory Search

Back
Top