Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by koowoeyr

  1. K

    Synchronous state machine- design of a poller machine

    library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Poller is port(Clock, nreset : in std_logic; Req : in std_logic_vector(3 downto 1) :="000"; Ack : out std_logic_vector(1 downto 0)); end Poller ; architecture Behavior of Poller is type states is...
  2. K

    Synchronous state machine- design of a poller machine

    when the input is "111" , req3 will be selected. if the input is "111" again, req2 will be selected. But when its "111" for the third time, it go back to req3 instead of req1
  3. K

    Synchronous state machine- design of a poller machine

    Hi all! i am new to VHDL and i was ask to write a program in VHDL to implement a 3-input sequential Poller. The Poller has three inputs representing three devices. A device request service by asserting its input. On every clock cycle, the polling machine checks the status of the three input...

Part and Inventory Search

Back
Top