Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kooki27

  1. K

    Clock multiplier using fpga

    we are making this signal generator using Cyclone II altera. i need some codes for a clock multiplier using VHDL. can anyone help me?:grin:

Part and Inventory Search

Back
Top