Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by keerthiraj

  1. K

    interview questions in UART

    friends... can any body help me out wid d interview questions on uart and vga controller... thanks in advance
  2. K

    abt mantalova systems openings

    hi mithri, i dont know the exact detalis.... i heard it frm my frend. i'l let u know the exact details next week..... regards keerthi
  3. K

    abt mantalova systems openings

    hi all on 28th mantalova systems is conducting interviews for vlsi design engineers. it consists of test followed by interview. if anybody hav the previous question papers, plz do help me...... thanks in advance...
  4. K

    about verilog interview questions...

    hi all, where can i get verilog and vhdl interview questions.......?
  5. K

    What does the Tcl/Tk stand for?

    Re: wat is tickle teeke any books for that?
  6. K

    What does the Tcl/Tk stand for?

    hi all what is tickle teeke?
  7. K

    good consultants for vlsi job in hyderabad

    vlsi consultants in india hi mithri... thanks alot.... where is dis help button??????
  8. K

    good consultants for vlsi job in hyderabad

    job consultants in hyderabad i need a consultancy , through which i can get a job in vlsi....
  9. K

    What should I do an read to learn VHDL?

    Re: VHDL http://esd.cs.ucr.edu/labs/tutorial/ this site is too good for the beginners in vhdl.........
  10. K

    HOW TO DESIGN UART IN FPGA

    give me ur mail id... i hav one code .... i'l send it to u.. may be it vil help u..
  11. K

    good consultants for vlsi job in hyderabad

    vlsi job consultancies i hav 1yr experience in vlsi. im good at verilog and vhdl programming... and v r using kits like lattice machxo ,lattice xp...
  12. K

    Help me with a VHDL code for counter in a parallel to serial block

    Re: help in a VHDL code use two more signals like a,b. and use xor2 userdefined component uuuuuu : xor2 port map (a,b,x3); process (data_in) begin if x2="111111" then --o/p of counter x<='1'; else y<='1 --take two variables x,y'; end if; end process; a<=x; b<=y; i think this code...
  13. K

    good consultants for vlsi job in hyderabad

    vlsi consultancies in hyderabad Hi, could anyone tell me good consultants for vlsi job in hyderabad, Thanks a lot
  14. K

    good consultants for vlsi job in hyderabad

    Hi, could anyone tell me good consultants for vlsi job in hyderabad, Thanks a lot
  15. K

    abt pin connections in machxo 2280

    it is lattice semiconductor corporation's fpga kit....

Part and Inventory Search

Back
Top