Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kahroba92

  1. K

    DC characteristic of amplifier in cadence

    Thanks for correcting dictation! I want to show the linearity of amplifier. VDD is 1.8V and Vin should be swept from 0 to 60mV. So, in DC analysis, what is the value of Vin? Should I consider DC common of input? (Sorry for my simple question. I got confused!)
  2. K

    DC characteristic of amplifier in cadence

    Hi friends, I would like to plot the DC characteristic (Vin vs Vout) for my differential amplifier in cadence. can anyone tell me what analysis should be run? tnx
  3. K

    error with pointer as string

    tnx a lot for clear explaining. In passing arrays to function, is there any difference between "void func (char * s)" and "void func (char s[])" ? which one is better?
  4. K

    error with pointer as string

    Hi freinds, I want to write five 'M' in a string. I have defined string by char * as following code: #include <stdio.h> #include <stdlib.h> int main() { char *str; int i=0; while(i<5) { *(str+i) = 'M'; i++; } *(str+5) = '\0'; printf("%s", str)...
  5. K

    procedure of PROCESS in VHDL

    right. in this way, is the highlighted line the result of sequential execution? it seems concurrent execution!
  6. K

    procedure of PROCESS in VHDL

    I agree with you. code within a process is sequential. Please consider my example again: (a=1, b=2 and c=3) Process (clock) begin if rising_edge (clock) then b <= a; c <= b; end if; end process; in risign edge of clock at zero time b DFF is 1 and c DFF is 2. This is right. But it’s not the...
  7. K

    procedure of PROCESS in VHDL

    Assignments inside process are sequential. This a shot of pedroni book. P 65.
  8. K

    procedure of PROCESS in VHDL

    Hi friends, I have a misunderstanding in VHDL concepts in process description. I know that “process” is executed sequentially in VHDL. Consider this example: If a=1, b=2 and c=3: Process (clock) begin if rising_edge (clock) then b <= a; c <= b; end if; end process; in above example, after a...
  9. K

    Peak in the frequency response of the amplifier

    Re: peak in frequency response of amplifier thanks for your answer. I have written the transfer function and pole-zero are located in LHP. my amplifier is a VGA (variable gain amplifier). in a paper that I have read before, said that 1 dB peak in allowable. is there any special reason for...
  10. K

    Peak in the frequency response of the amplifier

    peak in frequency response of amplifier Hi, I have designed an amplifier in CMOS technology which has inductive peaking technique in active form for extending its bandwidth. In frequency response simulation, before 3-dB frequency, the amplifier has about 1 dB peak. How should I understand...
  11. K

    [SOLVED] Seal ring stretch help

    my problem solved with your solution I can edit library cell of seal ring and make cell for my own seal ring thank you :)
  12. K

    [SOLVED] Seal ring stretch help

    yes, you are right. there are coordinates but I can't change the value.
  13. K

    [SOLVED] Seal ring stretch help

    there is nothing in property and I can't change value in ROD so, is there any other way? :(
  14. K

    [SOLVED] Seal ring stretch help

    Re: seal ring stretch help thank you for answer dick_freebird. Actually I have checked properties and by changing dimensions the seal ring's position just shifts up/down or right/left. this is shot of properties: really get confused! what should I do?
  15. K

    [SOLVED] Seal ring stretch help

    Hi, I want to fit seal ring in my design in cadence virtuoso but after trying much I can't change the size of it. "s" key or stretch doesn't work for seal ring. can anyone help me? thank you

Part and Inventory Search

Back
Top