Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jyt_19

  1. J

    Implementing 2 dimensional FFT on FPGA

    i want to write verilog code for 2 dimensional FFT which needs defining a 2 dimensional matrix and every element in this matrix is an array of 15 ...can anyone tell me how to write data in matrix..one way is defining an array of (no of rows* no of columns)..is der any other method? and also...
  2. J

    VHDL code for 8 point FFT

    i have done with 8 point fft...thanks. Now i want to write verilog code for 2 dimensional FFT which needs defining a 2 dimensional matrix and every element in this matrix is an array of 15 ...can anyone tell me how to write data in matrix..one way is defining an array of (no of rows* no of...
  3. J

    VHDL code for 8 point FFT

    can anyone mail me vhdl code for 8 point fft ..

Part and Inventory Search

Back
Top