Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by josh_

  1. J

    Transcendental approximations

    Yes, but 2^3.14159 is...? Remember, this is floating-point. Sorry if I wasn't clear on that. Great, I'll look into the log2 link. Thanks! -- Josh P.S. Anyone know how to do a good approximation to arctangent?
  2. J

    Transcendental approximations

    Hi all, I'm looking to do some simple low-level approximations of sin, atan, 2^x, and log2 x for a CPU I'm making. So far I've got a simplified MacLaurin polynomial for sine, which seems to produce quite a good result (8 terms --> 16 decimal place accuracy at pi/2, 16 terms --> 41 dec. place...
  3. J

    Help me solve an error in line TrReg = datain of a synthesis

    Re: Weird error in synthesis I wasn't using "assign" anywhere in that module. I don't know what the true error was, but I rewrote it to make it cleaner and the problem went away. -- Josh
  4. J

    Help me solve an error in line TrReg = datain of a synthesis

    Re: Weird error in synthesis Ah, thanks for the tip. Didn't think of that. Anyway, now I'm onto more errors... -- ERROR:Xst:528 - Multi-source in Unit <cpu> on signal <r<14><0>> Sources are: Output signal of FDE instance <r_14_0> Signal <r<14><0>> in Unit <cpu> is assigned to GND --...
  5. J

    Help me solve an error in line TrReg = datain of a synthesis

    Weird error in synthesis Xilinx ISE 6.3i, targetting a Spartan-III 400k gate, Verilog. I'm trying to synthesize a small CPU (I mean really small - 150 lines or so), but I'm encountering trouble with one particular section. It is supposed to place a data input byte (wire [7:0]) into a reg...
  6. J

    Suggestions on working with FPGAs

    Re: FPGA suggestions Does anyone know why in A-L-T-E-R-A the A gets changed to an @? Is it a trademark issue? -- Josh
  7. J

    Suggestions on working with FPGAs

    FPGA suggestions Hi all, I've been making my first foray into the world of logic design. As a first project, I've designed a very simple 4-bit CPU in about 150 lines of Verilog. I simulated it and it works fine. My question is: Where do I go from here? I know that Xilinx and Altera are the...
  8. J

    Question about specification for RF locator

    Re: RF locator? To make the receiver more sensitive, would you need to have a longer antenna, some sort of amplifier, or both? As far as the transmit signal goes, I'm thinking of something roughly like this: [100 ms high] [some unique 8-bit value - 10 ms per bit] [100 ms high] every few...
  9. J

    Question about specification for RF locator

    Re: RF locator? Thanks for your quick and informative response! I just found Digi-Key part # ANT-916-JJB-ST-ND. It's about 2 cm long, 912 MHz. I'm not looking for long range here, maybe 100 ft if I'm lucky. Would this be likely to work? What kind of power source would I need? I'm...
  10. J

    Question about specification for RF locator

    Hi all, I'm doing a little project - an RF transmitter and a receiver. The receiver lights up an LED to indicate what direction the transmitter is in. I'm thinking of using the 900 MHz band (specifically 912 MHz) and using a couple of small antennas. My problem: I don't know the faintest...
  11. J

    Floating-point arithmetic?

    Does anyone know of a good resource for algorithms on floating-point arithmetic? I tried Googling but didn't find anything useful. -- Josh

Part and Inventory Search

Back
Top