Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jojo26

  1. J

    dealing with derivative in VHDL,is it totally impossible ?

    i have the values of my expression in discrete points in advance(following the same step), all i have to do is to conclude the derivative of each one thanks a lot for answering and sorry if my english is not that good
  2. J

    dealing with derivative in VHDL,is it totally impossible ?

    but i found the expression i mentioned in wikipedia https://fr.wikipedia.org/wiki/D%C3%A9riv%C3%A9e#D.C3.A9rivation_num.C3.A9rique it's in frensh but you can see the expression in the 8th section 'dérivation numérique',is it possible to be a wrong expression ?
  3. J

    how to know while loop number of iteration ?

    can you recommand any book or tutorials that can help me understand how a design work on hardware ? when it can be synthesizable and when not and why ? i feel like it's hard to write VHDL with my basic electronics knowlege i'm so grateful,thanks for your answers regards jojo
  4. J

    how to know while loop number of iteration ?

    thanks a lot,i'm sorry for making such horrible mistakes,i need to synthesis this code,i'm going to do a number of repeated substraction until i reach a certain condition (for example a>b ..) so can you give me a suggestion in whish structure should i use to do that,knowing that i'm dealing with...
  5. J

    how to know while loop number of iteration ?

    hey there , so in my code i need to know how many times a while loop was excuted (i don't know the number of iteration in advance and i should know it in a division algorithm ) how to know the value of I outside the process in the following example ? process (r,b) variable I :integer :=0...
  6. J

    substraction in vhdl

    hey i'm a newbie ,i want to have a simple substraction between two std logic vectors so i converted them into signed,but when i tested this code it didn't work correctly for example 8 +(-5) gave me (-1021) istead of 3 !!! library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all...
  7. J

    dealing with derivative in VHDL,is it totally impossible ?

    hi, i have the coordinates (easting / northing) on 12bits std_logic_vector, so i'm going to use those to implement f'(x(i))=(Y(i-1)-Y(i+1))/(x(i-1)-x(i+1)) can you help me with some examples or refrences,thanks a lot. regards jojo26
  8. J

    dealing with derivative in VHDL,is it totally impossible ?

    I'm trying,this my first time writing a code of a system without its description (inputs,outputs,blocks..).i'll succeed soon..not in 5mins but soon.thanks for your help ;-)
  9. J

    dealing with derivative in VHDL,is it totally impossible ?

    i did some research and i read about numerical differentiation and finite difference approximation,and it is indeed the solution to derive in vhdl.but i found nothing about vhdl algorithms treating this kind of problems. can you help me with an example ?
  10. J

    dealing with derivative in VHDL,is it totally impossible ?

    i'm sorry i have no details about the function i'm going to work on,i was given an assignment,i should find algorithms for derivative in vhdl using multiplication,addition and division only.so if i got you right,it is possible to write this kind of VHDL algorithms ? thank you
  11. J

    dealing with derivative in VHDL,is it totally impossible ?

    hi, i know that dealing with derivative in VHDL is not that possible,but i want to ask why ? and if i have a given funcion would it be possible to do a certain approximation in order to have derivative and what are the steps to succed in that.i'm so confused. thanks a lot.

Part and Inventory Search

Back
Top