Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by John150

  1. J

    [SOLVED] 555 long trigger time with one output cycle

    Hi, I'm using a 555 timer to set the output hi for a short period of time and then back low. The problem: if the trigger low signal its shorter that the output toggling time the circuit works fine. If the trigger is longer that the output time, the output will stay hi for the hole trigger time...
  2. J

    ac signal supervisor

    Hi I have an AC signal that I want to supervise. Based on the signal I want to enable/disable an other device (+3.3VDC). I was thinking to use an opamp but any other suggestions should be welcome. Questions: 1. How can I convert the AC +/- 0.2V to 3.3VDC? If the AC signal is zero or below...
  3. J

    toggle microphone analog or digital

    Any idea how can I toggle on/off a mic without using relays? Something like an analog switch? J.

Part and Inventory Search

Back
Top