Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jerryvikram

  1. J

    Structural Code for DFF

    OH... thanks.. i should go for the behavioral code then...
  2. J

    Structural Code for DFF

    Hi, I've written a structural code for DFF, using Transmission gates. The diagram is attached. But when i tried to simulate in iSim , i did not get the output rather i got the message that :more than 10000 iterations. What is wrong here? library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity DFF is...
  3. J

    How to write VHDL test bench for INOUT Port ?

    Actually I removed this entire line and it worked fine. Thanks for the replies guys...
  4. J

    How to write VHDL test bench for INOUT Port ?

    I wrote a RAM memory with Bidirectional Data... But when I wrote a small test bench i am not getting the proper Data out. Whats wrong here? My code: entity ram is generic ( DATA_WIDTH :integer := 8; ADDR_WIDTH :integer := 8 ); port ( clk :in...

Part and Inventory Search

Back
Top