Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jep

  1. J

    Is there a tool for converting C to VHDL?

    c convert to vhdl **broken link removed** hope this helps
  2. J

    Count the number of 1's

    A 8-bit address with 4-bit width LUT and 4 level pipeline will be ok for you. Or a 16-bit address with 5-bit width LUT and 2 level pipeline? Hope this helps
  3. J

    Problem with encoder counting code in VHDL

    Help in VHDL elsif (Clock'event and Clock = '1') then if(Channel_A'event and Channel_A = '1') then Q II can't refer any register from these two lines and i think you can not expect Q II understand it while no register can meet your requirement :)

Part and Inventory Search

Back
Top