Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jenardo

  1. J

    Formal methods for verification (not PSL)

    Hi all, I have read about PSL and I was able to apply it to Verliog codes for verification. But from what I read, I understood that there're other formal methods for verification. They some how extract the design properties and then try to break them with different test cases. 1) Does anyone...
  2. J

    PHY interface of USB 2.0 core

    Hi all, In case of implementing a USB 2.0 core, I wanted to ask about its PHY interface. 1) Is it possible to output D+ and D- directly from the FPGA ? 2) or is some kind of transceiver in between needed to convert high and low voltages to a differential one ? 3) What is an UTMI interface ? and...
  3. J

    ROM size on FPGA is a problem

    Hi all, I successfully used a V2Pro development board to develop an 8051 and CAN system on chip. I used the Keil software to get a hex file then converted it to a ROM file in the 8051. All this was successful. The ROM I used had a 16-bit address and 32-bit word. It is written by code as...
  4. J

    Establishing a VPN between two PCs

    Re: Establishing a VPN Hi, Actually, I donot know much about VPNs .. Just what they are So what I need to do is establishing a VPN between my office and home PCs.
  5. J

    Help in designing a PID controller using Matlab

    hi, Just use the simulink toolboxes and add the blocks you like. Its easy and straight forward
  6. J

    digital delay locked loop codes

    digital dealy loop Hi, IP: Intellectual Property. Its the Verilog/VHDL codes
  7. J

    Help me figure out a project on networking for college

    Re: network project Hi, Well, if it is a client-server program, You can do it quite easily and quickly. you can try a multi-threaded webserver. Its quite easy if you know a little bit about socket programming and threading.
  8. J

    Establishing a VPN between two PCs

    Re: Establishing a VPN Hi, Thanks Enhancer for your answer. eng_tameremad, obviously you didn't get what I am talking about. A virtual private network (VPN) is a private communications network often used within a company, or by several companies or organizations, to communicate confidentially...
  9. J

    Application of polling concept in Real Time Embedded Systems

    Re: Polling Concept. Hi, If what you seek is polling an external device, then external interrupts will be used instead. Else if you are seeking a periodical check on anything, then use timer interrupts.
  10. J

    how to create a shape using java

    Hi, It depends on what you mean with the word "shape". If you mean 2D shapes, then there is the Java2D library which is already included with the JDK. And there are sample codes and JARs withthe JDK. If you mean 3D shapes, then download the Java3D library from Sun.
  11. J

    Establishing a VPN between two PCs

    Hi, I want to establish a network between 2 distant PCs. I think that this is what is called a VPN. I am not sure what is the best way to do this or what I should exactly read. Thanks
  12. J

    Issues when reading from Microblaze I/O ports

    I used the Microblaze as a sub-module in a certain design. I can easily write to any of the output (or input/output) ports that I defined. But, however, when I try to read from that port that I just wrote to, the function (XGpio_DiscreteRead) just returns zeros. This makes debugging a very...
  13. J

    wut is microblaze? how can i attach my VHDL design to it?

    Re: wut is microblaze? how can i attach my VHDL design to it hi, -- what is microblaze? It's a soft processor given along with the board's tools, this processor can be made into a VHDL design using the XPS tool. From this tool, peripherals can be added to your processor. This processor code can...

Part and Inventory Search

Back
Top