Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jango123

  1. J

    fsm design for sequance detect (please help me)

    ok bro , but the problem will be in the switching input
  2. J

    fsm design for sequance detect (please help me)

    thank you bro ,i whant achieve : when the sequence "1101" by using the input a switch is detected then y=1 until rset =1 (when rset =1 than fsm come to state 1)
  3. J

    fsm design for sequance detect (please help me)

    that is my new code library ieee; use ieee.std_logic_1164.all; entity machine is port( rst,clk,a : in std_logic; y : out std_logic); end machine; architecture arc of machine is type m_state is (s1,s2,s3,s4,s5); signal state : m_state :=s1; begin process(clk,rst) is begin if...
  4. J

    fsm design for sequance detect (please help me)

    yes but in the vhdl code i change the reset to be actived on only if is high
  5. J

    fsm design for sequance detect (please help me)

    thank you for those great information , but how to fixe it
  6. J

    fsm design for sequance detect (please help me)

    thank you , i will try it now , but bro i have a question , consider the input a is switch , so if the switch is closed , maybe the fsm can read 11111 when the switch is not changing fast lik the clock any help ? - - - Updated - - - Akanimo i have used your correction , it work in simulation ...
  7. J

    fsm design for sequance detect (please help me)

    hello , i try to make a fsm with a reset and one bit input a and output y to detect the sequence "1101" i code it with vhdl and simulite it but it dont work please help me thank you library ieee; use ieee.std_logic_1164.all; entity machine is port( rst,clk,a : in std_logic; y : out...

Part and Inventory Search

Back
Top