Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jameshou082705

  1. J

    Design & Verification in Big companies ?

    As far as i know, most big companies have their separated design and verification teams. With increasing design size and complexity and shorter time to market requirements, it is becoming a necessary, so both the team could work more E&E-ly and work in parallel in some stage of the...
  2. J

    General Tips of reading Verilog Code

    I think you should start out from the design spec, and based on the integration maps if they are available, find out all the IO's and understand their definitions and basic functionality. I agree with the above posts, that it should be based on functional units for a complex design...
  3. J

    How to replicate this VHDL process in Verilog ?

    Re: VHDL to Verilog It depends. If a, b, c, d are clock signals, we can implememt it as a sequential logic as the following: always @(postedge a or postedge b or postedge c or postedge d) begin if (a||b) tmp = 1'b0; else tmp = 1b'1; end Otherwisw, we should implement it as...

Part and Inventory Search

Back
Top