Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jacksparrow93

  1. J

    How can i simulate this vhdl code?

    hey i did it by changing <clock> to co (thank you!) now in Stimulus process i need to put zeroes or ones to signals to see simulation. Can someone expain how does it work? this is now example of it
  2. J

    How can i simulate this vhdl code?

    Hey, i know my code has no sytax error because it's working fine on nexys 3. I've talked to professor, he told me if i have signal i need to set value and i need to be careful for time of simulation because i have frequency divider. here is the errors on that test branch file...
  3. J

    How can i simulate this vhdl code?

    So i wrote this code (code is working on nexys 3) and when i'm trying to simulate it (created VHDL test brench, clicked on simulate behavioral model) it just gives me errors(syntax, illegal identifier, possible infinite loop)... How can i simulate it? Code is: library IEEE; use...
  4. J

    [VHDL NEXYS 3] new to this language, need help

    hey guysm i was busy so i coudn't respond. So, i was working od it! I have this ------------------MAIN MODULE library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter is port( start_stop, reset, clk: in STD_LOGIC; led: out STD_LOGIC_VECTOR (6 downto 0) )...
  5. J

    [VHDL NEXYS 3] new to this language, need help

    I found couple of up/down counter codes but its for DEC, i can't find any eg for HEX
  6. J

    [VHDL NEXYS 3] new to this language, need help

    I don't have nexys 3, i need to simulate it. So i need to have entity with start, stop, reset and led? And can i write it in only one vhdl module?
  7. J

    [VHDL NEXYS 3] new to this language, need help

    Hello guys, so i don't know this program very well ( i know little bit) and i need to write a program that is downcounting from 3B (HEX) to 0 with speed of 1 second, and it has to have Start/Stop and Reset on Nexys3. So basically 1 min downcounter... Can you give me some instructions or whole...

Part and Inventory Search

Back
Top