Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ja1st

  1. J

    Is it possible to clone of the XDS510PP (TI DSP emulator) ?

    jtag controller ti dsp SMC34c60 is the same as PPC34c60 in my opinion. It "only" refreshes ISA signals on parallel interface. But i have an idea to connect 8990 to USB.
  2. J

    Is it possible to clone of the XDS510PP (TI DSP emulator) ?

    xds510pp driver Did somebody tried it? I read some mentions here. But links which lead to the schematic are dead. Could you help me got the scheme? Thanks

Part and Inventory Search

Back
Top