Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by india1234

  1. I

    How to compile VHDL files that are below the Verilog at the top level using VCS MX?

    i am new with vcs mx.i have verilog at top level and vhdl file are bellow it .i could not able to run it .what i have to do for compiling those vhdl files ?
  2. I

    can anyone tell me the diif b/w tlm_fifo and mailbox in SV?

    can anyone tell me the diif b/w tlm_fifo and mailbox in SV? what are their prons ans cons?
  3. I

    VLSI career in Bangalore & Schools on VLSI

    Re: VLSI CAREER search for a small concern.......... many companies dont recruit freshers instead they they give intenship (as project work) and on the performance they give job Added after 2 minutes: companmy like synopsys, frescale and LSI logic recruits through intenship

Part and Inventory Search

Back
Top