Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by igaco

  1. I

    [SOLVED] VHDL: reading text file stops at endfile()

    Thank you! That closes the question.
  2. I

    [SOLVED] VHDL: reading text file stops at endfile()

    Hello Everyone, I am implementing a test bench which will load the input stimuli vectors from a text file and save the resulting vector in another text file. While it was rather simple to write in a file, it is not so obvious for me how to read the file. Below is a shorted part of test bench...

Part and Inventory Search

Back
Top