Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hm1622

  1. H

    RTL Design from timing diagram

    A & B = Asynchronous Digital control inputs X = a minimum size width for n and p channel transistor width for the transistors inside the inverter. 8X = n and p channel widths are 8 times that of 1X inverter. D<9:0> = data lines; these are digital outputs that change *after* the clock rising...
  2. H

    RTL Design from timing diagram

    I dont know from where to start so guys help me with that. i am beginner in RTL design please help me with RTL(verilog) design of this block.i have some specification like • X means a minimum size width for n and p channel transistor width for the transistors inside the inverter . • 8X means...
  3. H

    microcontroller(8051) interfacing with micro SD(memory card)

    what are the modification take place in the software and hardware in 8051 controller.because we have use P89c51RD2 and you have suggest me atmega16..it is very helpfull if you give the coding of 8051 ---------- Post added at 17:28 ---------- Previous post was at 17:23 ---------- i have not...
  4. H

    microcontroller(8051) interfacing with micro SD(memory card)

    hello guys..i am working on the vehicle tracking system.project have been almost done.but we want to improve our project.so we decide to add memory device(micro sd card 1GB) which store the data of GPS in MS excel format so when passive tracking could be possible.we are not familiar with...
  5. H

    vehicle tracking system

    my final year project is vehicle tracking system using gsm and gps module. in which the tracking device is fixed on car and the device continuously(1 hour interval) send Google map link on cell phone.so guys please help me to complete this project.i need a program for this.i have already design...
  6. H

    vehical tracking system

    any buddy suggest me good and cheap gsm and gps module in India...
  7. H

    vehical tracking system

    i ll try to get all information related to my project.but basic thing i want to know about the this project.and i have knowledge of microcontroller but i dont have any idea about the at commands....so guys please help me on this project.
  8. H

    vehical tracking system

    hello frendz.. i am in final year of electronics and comm.my final yr project is vehicle tracking system using gsm and gps module. in which the tracking device is fixed on car and the device continuously(1 hour interval) send google map link on other cell phone....so guys please help me to...
  9. H

    Implementation of cyclic redundancy check (crc4) using vhdl on fpga

    yes for that i am asking to you.why the poly4 is ignored??it is necessary bit as input.because we cant generate crc with out polynomial bits.cay you please solve the above code. ---------- Post added at 15:33 ---------- Previous post was at 15:28 ---------- may be but i have not detail...
  10. H

    Implementation of cyclic redundancy check (crc4) using vhdl on fpga

    can you please explain me in detail.i have change the code as you have mention but still "poly4" is ignored by altera max plus 2.can you write the whole program.
  11. H

    Implementation of cyclic redundancy check (crc4) using vhdl on fpga

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity crc1 is port(data_in:in std_logic_vector(3 downto 0); poly:in std_logic_vector(4 downto 0):="10011"; crc:out std_logic_vector(3 downto 0)); end crc1; architecture Behavioral...
  12. H

    I want to built Autonomous Robot!!

    I want to Build a fully autonomous robot which can traverse through a grid avoiding the walls placed in between the lines of the grid. 1. The robot has to detect the gate and travel through it. 2. Robot has to follow the line. can any one give me circuit diagram and coding related to...
  13. H

    Need VLSI project for bar-code reader and decoder

    i want to make project on BAR CODE READER AND DECODER in VLSI tech. so please give me VHDL code for BAR CODE READER AND DECODER.
  14. H

    Need Mini project with the help of hardware and simulation tools on digital comm...

    can you please give me report on "Measure the ROC using direct sequence spread spectrum modulation on a RS485 network"?
  15. H

    Need Mini Project related to digital communication....

    I have no idea for VHDL coding..can you give me some title of projects?

Part and Inventory Search

Back
Top