Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Harrylhq

  1. H

    Need programs about using pointer in C/8051

    Re: Pointer in C Here is a sample code for Pointer usage in C51.
  2. H

    I2C implemented by GPIO

    gpio i2c driver Chose two I/O pins for SDA and SCL and connect them to I2C device(slave mode) directly. See, it works. The C code for 8051:
  3. H

    Why the interrupts in 8051 slow down the code?

    8051 Interrupt I think the interrupt response time is related with the MCU clock and the data transmit frequency of keyboard.I interfaced 8051 to a keyboard in a project before. The response time is ok.
  4. H

    Which PCB tool will be the best choice to beginner?

    The software doesn't design a board, the operator does. Take a look here:
  5. H

    real time clock interfacing with 8051?

    8051 as rtc PCF8583 is another good choice.It is easy to use and you can get more than 240 bytes extra SRAM for CPU. Look at the C code:
  6. H

    How to read/write to eeprom using C

    Look at this: eeprom_drv.c eeprom_drv.h
  7. H

    JTAG Tools software package

    It's turely a very nice baby for the ARM guys. You can use it under SDT2.5 ,SDT2.51,ADS1.1andADS1.2 with the simple jtag cable. It is developed by Armjtag, and It's free.Thank Armjtag very much! Try it soon! Looks there: **broken link removed**
  8. H

    Is it possible to clone of the XDS510PP (TI DSP emulator) ?

    usb parallel port emulator or P*rotel 99SE
  9. H

    Is it possible to clone of the XDS510PP (TI DSP emulator) ?

    tds510 clone ok,ok! take it!
  10. H

    Is it possible to clone of the XDS510PP (TI DSP emulator) ?

    xds510pp +scheme try this: **broken link removed** downloading two attached files in first post. enjoy it!
  11. H

    Where to find SSop BGA to DiL adapters to make by yourself?

    looks here http://www.yamaichi.us/ http://www.wellscti.com
  12. H

    Does anyguy have idea about Multi-ICE's SCH?

    Does anyone can post Multi-ICE's SCH and PCB or any other files? 3ks!
  13. H

    Does anyguy have idea about Multi-ICE's SCH?

    icesch Does anyone can post Multi-ICE's SCH and PCB or any other files? 3ks!
  14. H

    Is it possible to clone of the Multi-ICE (ARM emulator) ?

    multi-ice clone Does anyone can post Multi-ICE's SCH and PCB or any other files? 3ks!

Part and Inventory Search

Back
Top