Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hansben

  1. H

    Verilog Syntax error

    New to Verilog, anyone could help ? `timescale 1ps / 1ps // do not change module fsl_ddr_eye_ctl ( output ck, output addr_cmd, output ctl, output dm, inout dq, inout dqs ); /**************************************************************************************** *...

Part and Inventory Search

Back
Top