Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hansbe

  1. H

    Cadence SKILL script fails

    Shouldn't run() be one line before?
  2. H

    Play simple WAV data using DAC

    Although it normally isn't compressed .WAV data can potentially be compressed. See WAV - Wikipedia, the free encyclopedia 8 bit data should be unsigned so, yes, you should be able to feed it directly to a DA converter.
  3. H

    how to this build this circuit which uses oscillator and amplifier

    An inverter is not an oscillator per se. Oscillators can be made from inverters or other devices with amplification. An amplifier can reduce the voltage and current if you wish, but that can also be done with (cheaper) passive components. If the product of voltage and current increases you have...
  4. H

    Extract phonemes from speech signal for certain language

    " I'm a student and currently I'm working on a project to convert text to speech. " text to speech, or speech to text ? " first I need to extract the phonemes from certain sentences recorded. how can I extract these phonemes from the recorded speech? " That's not really a simple thing to do...
  5. H

    Help me with Monte Carlo analysis for SRAM

    Re: Monte Carlo anlaysis scatter plot in matlab or octave: plot(x,y,'.') In cadence I don't know exactly. There is a command "plotStyle('scatterPlot)" you can type into the icfb. But how exactly you choose series I don't know. I guess the 'x' would always come out as first sweep variable ...
  6. H

    How does one do .MEASURE in Spectre ?

    Re: spectre measure In my spectre (version 6.2 from 2007) I can just put in spice .measure commands enclosed by simulator lang directives in the spectre netlist: simulator lang=spice .MEAS TPLH TRIG PAR('V(2)-0.5*VDD') VAL=0 FALL=1 TARG PAR('V(3)-0.5*VDD') VAL=0 RISE=1 simulator...

Part and Inventory Search

Back
Top