Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Gregcooler

  1. G

    Ultra high speed data acquisition system ???

    I want to make a data acquisition system of which the bandwidth is about 1.5GHz, can anybody give me some advices on how to realize it, thanks in advance!!
  2. G

    RF signal strength measurement

    Analog Device have the right chip to do this which Could measure frequency from DC to 2.5GHz. Good Luck.
  3. G

    What's the main difference between FPGA &CPLD ???

    What's the main difference between FPGA & CPLD ???
  4. G

    How Can I Change the 110V ,AC(60Hz) to a Higher Frequency?

    AC-AC?????? How Can I Change the 110V ,AC(60Hz) to a Higher Frequency. Thanks.
  5. G

    Request Stepper Motor Driving Schematic

    lmd18200 stepper Does Any body know how to Drive a 3A stepper motor , I want a Schematic. thanks
  6. G

    eBOOKs about IC applications &Commnications Circuits IN

    Does any body know where to get some eBOOKs about IC applications &Commnications Circuits, Thx in advance, regards.

Part and Inventory Search

Back
Top