Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Gmezz23

  1. G

    Missing "Via" in Cadence Virtuoso - AMS 0.18

    Dear dick_freebird, I don't think that anyone updated the PDK, and I verified that the licence get the XL suite. Btw, Is there a way, to insert those vias in the standard ones shown by the "create vias" menu? Thanks a lot, Giovanni
  2. G

    Missing "Via" in Cadence Virtuoso - AMS 0.18

    Hello everyone, I have a little problem with my Cadence Virtuoso Layout Suite XL, when I work with the AMS 0.18. The problem concerns the vias: few days ago the vias RX_M1, etc... were available in the panel "Create Via", now I can see them only if I use "Add Istance" . Someone can help me in...
  3. G

    Issue with pcellEvalFaild warning on Layout Virtuoso IC6.1.6

    ... If can be useful this is the Log of the problem: *WARNING* (DB-270001): Pcell evaluation for cmrf7sf/pfetx/layout has the following error(s): *WARNING* (DB-270002): ("dbCreateLabel" 0 t nil ("*Error* dbCreateLabel: Invalid layer/purpose" nil)) *WARNING* (DB-270003): Error kept in...
  4. G

    Issue with pcellEvalFaild warning on Layout Virtuoso IC6.1.6

    Hello everyone, I'm trying to do a layout of a simple inverter with my Virtuoso 6.1.6-64b, through the ams_cds tech : c18b4. When I try to import the instance from the schematic, it returns me a blinking warning on the workbench: pCell Eval. Failed If I follow Verify>>Marker>>Explain it says...
  5. G

    [SOLVED] [Moved]: [Cadence Virtuoso] Problem with Model parameters AMS 4.11

    Hello everyone, thank you erikl, but it isn't what I meant. In any case I've been solved my problem. If the solution can help someone, I solved the problem adding labels "cdsParameter(#number)" to the "symbol" linked to the cell "nfet". Thus operating a DC analysis and annotating the "DC...
  6. G

    [SOLVED] [Moved]: [Cadence Virtuoso] Problem with Model parameters AMS 4.11

    Hello everyone, I'm using cds libraries of AMS 0.18um CMOS process on Cadence Virtuoso (R). I place on my schematic the cell "nfet" and I do a DC analysis with Spectre. When I launch the ADE L, my trouble concerns the ANNOTATION on the schematic of the DC Operating Point. How I can see all...

Part and Inventory Search

Back
Top