Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ghertz

  1. G

    SystemVerilog Interface signal assignment

    1. I'm trying to avoid any combination logic in the interface. I'm assuming wor would get synthesized into an OR gate. 2. What happens when a different signal from a different bus acts as a select? For example: always_comb begin if(my_another_if.select == 11) begin myif.out =...
  2. G

    SystemVerilog Interface signal assignment

    I'd like to eliminate assignment of signals to every single instantiation of the interface -- see comments [1] and [2] in topmost code snippet. What is the best way to accomplish this? One way is to assign signal to a common interface and then use this common interface to connect to the other...
  3. G

    External C++ compilation and DPI question

    I still haven't gotten this to work....
  4. G

    External C++ compilation and DPI question

    You are right. The functions exported from SV is not visible to the C namespace. The experiment3.h header file does have the extern keyword. Can you elaborate on "Seriously I don't even understand which file imports the SV originated symbols to the linker" ?
  5. G

    External C++ compilation and DPI question

    PrintHelloWorld() is part of the SystemVerilog Testbench. GCC only knows about PrintHelloWorld() through the experiment3.h header file (the one generated by ModelSim)
  6. G

    External C++ compilation and DPI question

    Doesn't work. I still get an error when I try to create the .dll file These are the commands I tried: [1] g++ -c -IC:\intelFPGA\17.0\modelsim_ase\include -o ./mytest.o ./mytest.cpp comments: I was able to execute this command without any issues [2] g++ -shared -Bsymbolic -o ./mytest.dll...
  7. G

    External C++ compilation and DPI question

    Huge code base. I don't think it makes to create a new work flow. It'd be nice to keep HW and software different. ModelSim User's Manual talks about using vlog to compile (default autocompile flow), and external compilation flow (gcc -> object file -> and link that file in modelsim). It looks...
  8. G

    External C++ compilation and DPI question

    @dave_59: Things work fine when I put c/c++ file in the command line. I want to get this working with the external GCC step.
  9. G

    External C++ compilation and DPI question

    Here is the whole file: #-------------------------------------------------------------------------------------------- #Experiment #-------------------------------------------------------------------------------------------- #ifndef INCLUDED_EXPERIMENT1 #define INCLUDED_EXPERIMENT1 #ifdef...
  10. G

    External C++ compilation and DPI question

    Hi - I'm having trouble creating a .dll file by using the gcc command on windows (MinGW). Here is the command I'm running: command: gcc -shared -Bsymbolic -o mytest.dll mytest.cpp error:: c:/mingw/bin/../lib/gcc/mingw32/8.2.0/../../../../mingw32/bin/ld.exe...

Part and Inventory Search

Back
Top