Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by g3nster

  1. G

    Download DC from $ynopsys

    Hi, I am a register user and can ftp into $ynopsys but can not download any software! I can see the Pub software dir but nothing else can anyone guide me where to go? thks in advance!
  2. G

    Where can I buy cheap Suse 9.0 with Spac?

    Re: Suse 9.0 with Spac Thanks yes it was the spac.. but really wanted to know about suse 9.0 but thanks
  3. G

    Where can I buy cheap Suse 9.0 with Spac?

    Suse 9.0 with Spac Any one tried this? Wanted to buy a cheap Spac but only for Linux any advice?
  4. G

    Advice for running EDA tools on Suse 9.0

    Suse 9.0 Any advice in runing EDA tools using Suse 9.0? Thanks in Advan!
  5. G

    What is Germany like and how does the job market look like?

    Jobs in Germany? I have an opprotuntiy to work in Germany in a town called Braunschweig. I dont know anything about the town.... Any help? Thank in Advan!
  6. G

    How to start learning Specman ?

    Specman beginner I wanted to learn Specman but have no idea really how to start. I gone though the examples but would prefer some example HDL and Specman files to learn using a real design! Any quick example? Thanks in Adv!
  7. G

    What happens if timescales are different in different files of the same design?

    nc verilog +nc override timescale I think it all depends on the Top level module and belivev that the simulator will complain
  8. G

    how to install DC on linux 9.0

    you can install this using red 9.0 but you need to comment 5 lines. Off hand I can not remember but if you post the message and the part off the file that it causes a problem I can let you know.
  9. G

    Links to Specman Elite tutorials

    specman verification tutorials can any one send me some basic examples PM? thanks
  10. G

    About S*y*n*p*l*ify ASIC and DC ?

    you can set the max and min libraries in the .synopsys file!
  11. G

    Buffer insertion or cell upsizing

    If you have STA results this would give you good indication whether to up size or down size cell. It all depends on delays on that path but you must take care that you do not cause skew conditions to other paths!
  12. G

    VHDL/Verilog Editor under Linux

    Another good one nedit! but i perfer xemacs!
  13. G

    anyone can show me a way to get a valid license for LDV4?

    sorry, i meant the (s(o(f(t(w(a(r(e link... also can any1 help with d(e(b(u(s(s(y( 5.2 (l(i(c Thanks
  14. G

    anyone can show me a way to get a valid license for LDV4?

    LVD4 Please can some point me to this link?? :roll: Thanks
  15. G

    In need of Debussy5.2 license

    Debussy5.2 I have generated a lic but only have a *.lpd for version 5.0, this does not work for debussy5.2, can any1 supply a new lic or help with this problem? thanks in advance

Part and Inventory Search

Back
Top