Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by frid4y

  1. F

    RS232 VHDL code for Spartan-3E

    rs232 spartan 3e I was thinking of writing a Wishbone Master interface so that it is triggered every time i press a button on the board and will send the data associated to that button. Just by sending the data as you proposed it is not always sure if the data will be sent if the channel is...
  2. F

    RS232 VHDL code for Spartan-3E

    rs232 vhdl I have a Xilinx Spartan-3E and what i want to do is this: Press a button ex. East Button on the board and send a signal eg. "00101100" to a device on the other end of Spartans RS232 port. Like when i press the button and the leds are on i want to send this signal over the serial...

Part and Inventory Search

Back
Top