Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by franskennis

  1. F

    Using system verilog DDR4 simulation models in VHDL.

    Thank you for the replies. Unfortunately I have only the interface DDR4_if, all other micron files are encrypted. How can i connect each internal interface signal to the VHDL instance ports? Sorry but I'am not familiar with (System) Verilog.
  2. F

    Using system verilog DDR4 simulation models in VHDL.

    I have downloaded a system verilog DDR4 simulation model from Micron and want to use that in my VHDL testbench. The interface of the system verilog DDR4 memory model from Micron: // MICRON TECHNOLOGY, INC. - CONFIDENTIAL AND PROPRIETARY INFORMATION interface DDR4_if #(parameter...

Part and Inventory Search

Back
Top