Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by fpgafresh

  1. F

    Hold violation fixing before CTS

    Basically both the setup and hold violation analysis is a pessimistic analysis i.e. we want to find the worst failing paths. For this reason when we are analyzing setup time we want to make are clock as fast as possible and data as slow as possible ( we use WC corner for setup analysis). From...
  2. F

    How to do power planning?physical-designers please address.

    i dont think i have enough points to view the document as well but i really need a guide to power planning calculation. Can you please mail the doc to me at singh32@purdue.edu......thanks
  3. F

    Encounter issue with Core and Hard macros

    Why are Macros placed in the corner of the site? Why not in the middle?
  4. F

    complex multiplier in vhdl

    Hi, If you have the vhdl code for the multiplier for the butterfly structure can you post it here or mail it at anirudhdagame@hotmail.com Regards
  5. F

    Internship in summer in india vs summer classes

    Well im not looking to do a PhD as of now. My concern is since the internship is in India will it have the same value as an internship in the USA. More specifically, from the point of view of recruiters in USA....And yes being Cadence the work should be interesting... Regards
  6. F

    Internship in summer in india vs summer classes

    Hi, I am currently enrolled in a MS(EE) program at Purdue University and am looking for internships in hardware design, fpga, physical deisgn. However i havent got any calls yet and the semester is coming to and end. I have an offer from cadence design systems but that is in India. So my...
  7. F

    Need FFT-VHDL code (Urgent)

    Re: fft vhdl Hi tinku......if u have found the code for implementing fft/dft using fpga can u please post it at this thread
  8. F

    implementation of dft/fft using vhdl

    Hi, I have to implement dft/fft using fpga but havent taken a class in dsp yet. can anyone please guide me as to how to go about it. i have started reading a book on dsp but what other information do i have to gather?

Part and Inventory Search

Back
Top