Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by foster_cn

  1. F

    Blogspot for ASIC verification

    please check out another blog for verification www.coolverification.com
  2. F

    Can anyone share SystemVerilog TB with classes

    the book of "System verilog for verification" would be quite helpful for you.
  3. F

    How to print some text as a header in vim file ?

    Header in vim file you can do this manually or automatically. manually you need to do command: :r ~/header.txt automatically you need to edit your .vimrc file add a line at the end: :r ~/header.txt
  4. F

    An interview question? Is my answer right?

    the minimum size FIFO should contain the data come in 200 clocks, to have the read side have time to pop them up. so that the FIFO will not get overflow.
  5. F

    Help me to understand clear about Perl and Tcl

    BTW, what is the key differnce between Perl and TCL, looks lots job can be done by both.
  6. F

    How to decide on the depth of the FIFO according to the speed of the two sides?

    depth of the FIFO I think, the FIFO size is not decided by the speed of the two side, normally is depend on the burst size the maximum fetch size. and how is the exact depth, is case by case.
  7. F

    OOPS in System Verilog

    systemverilog oops concepts definitely, oop concept is helpful to pick up. pls see this thread also:
  8. F

    specman basic training

    specman license I think if you have the specman license, you may ask for the material from Candence directly.
  9. F

    Need description of Verdi shorcuts

    Verdi shorcuts Is verdi quite different from Debussy? what is the main new feature of Verdi? anyone have idea?
  10. F

    Looking for some useful materials about System Verilog

    System Verilog Yes, I think there are very limited books on SystemVerilog so far
  11. F

    How to get parallel hardware in simulation using case statment?

    case statement have you tried with synthesis directive on those
  12. F

    best and better <Synthesis> tools...<<<>..

    use sysnopsys's DC to do synthesis, but Candence's Conformal to do equivalence check.
  13. F

    writing testbenches by Janick beregon

    writing testbench.pdf the latest version of this book is in language SystemVeriog. very helpful!
  14. F

    how to practice PERL language

    I think the book of "programming perl" is the best choice!

Part and Inventory Search

Back
Top