Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by englishdogg

  1. englishdogg

    [LEC] Why needed in ASIC flow?

    either your mistaken or completely aloof from the industry and discussing just for the sake of trying to prove your point. FPGA flows also have EC.. pls do a google search... you may choose not to like anything.. that is your prerogative... IN ASIC how will you test something on board till you...
  2. englishdogg

    [LEC] Why needed in ASIC flow?

    its something like this - why do yo use a RTL compiler to validate your code for syntax checks or anything like that. or why do you do GLS after you have done RTL level simulations. All want to be sure that there are no human or tool issues in the flow and overall design intent/specs are not...
  3. englishdogg

    Design has 600 macros, How will you start the macro placement?

    my personal experience has been mentor's Oasys has an awesome auto macro placement which has floorplanning to be the fastest and production quality. Have tried it in a same level of design with macros of different size and shapes and does wonders.
  4. englishdogg

    Power Analysis in EDA tools

    is this power calc number reported using annotation file - if not then i would not look at total power and may only look or try to correlate with leakage power.
  5. englishdogg

    Synopsys Design Compiler - Ungroup Synthesized Designs

    yes the main question is how large is the design. most tools are now a days equipped with larger capacity. Personally IMO top down flows generate better results always over bottom-up. Ungroup is one of the most common opt techniques in synthesis but be carefull thsi has implications on EC and...
  6. englishdogg

    How to comment out part of a DEF file?

    Some tools have an option like -skip or -section for read_def commands which can help you read-or-skip only those sections from the def. or either manual or awk/sed/vim
  7. englishdogg

    Ungrouping breaks ECO flow

    To my knowledge all synthesis tools ungrouping is enabled by default. Be it Synopsys, Cadence or Mentor. Am almost certain on this one. Like you mention not just opto across hier modules but logic decomposition and better structuring as well ungrouping helps- its not only about passing...
  8. englishdogg

    Ungrouping breaks ECO flow

    Do you mean at SoC level which can be multi-million inst design? Are you suggesting we do this flat synthesis later? how do i close my timing at the block level ? Can you pls elaborate more in detail?
  9. englishdogg

    Ungrouping breaks ECO flow

    In the example below my reference is to a functional ECO and not Timing ECO By default synthesis performs ungroups as one of its basic optimization techniques to achieve better QoR. Unfortunately this breaks my/users ECO flow Lets say synthesis does ungroup and i have an ECO to do which i...
  10. englishdogg

    Constraints in a design

    try this define_clock -period 100000 -name clkin [find / -port CLK]
  11. englishdogg

    Methods to fix timing violations in synthesis stage

    did u try debugging the timing report as to why the slack of 1000ps? I would start there rather trying any options first
  12. englishdogg

    Unable to connect scan chains in rtl compiler even though there is no dft violation

    Possibly your design is not scan mapped. Pls set the dft_scan_map_mode attribute to force_all. This will map all flops in the design to scan mode.
  13. englishdogg

    Cost Groups in Synthesis -> how this may help?

    Power Performance Area I think now is the good time to look at the product documentation .. for syntactical help It will vary from product to product

Part and Inventory Search

Back
Top