Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Elctgirl

  1. E

    If I have 12 bits each 4 represent a number in binary, how do i convert it to binary?

    Yes I know, I tried using the conversion function but it didn't work so I used the long conversion. I don't have a specific criteria, It's just that after I use a test bench I don't get the correct answer.
  2. E

    If I have 12 bits each 4 represent a number in binary, how do i convert it to binary?

    Hi, How can I convert a 12 bit binary number where each 4 bits represent a character into an 8 bit binary number? Example: 12 bit number : "001001000011" ==> 2,4,3 and I want to convert it to 243 ==> "11110011" any help please? What I did but still haven't reached a right answer: signal...
  3. E

    How can I get input from keyboard (PS/2 port) and display on the LCD of Spartan 3E ??

    Hi, I have the code for the keyboard interface and also the code for LCD displaying. But I just find a code to relate between both of them. I tried to write one using FSM and states but I never get the right output. Could you please give me some guidelines? I don't want to display a known...

Part and Inventory Search

Back
Top