Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by edrin_88

  1. E

    can anyone give the circuit?

    You have 2 switches to control the light in the long corridor. You want to be able to turn the light on entering the corridor and turn it off at the other end. Do the wiring circuit.
  2. E

    What is minimum and maximum frequency of DCM in spartan-3

    What is minimum and maximum frequency of DCM in spartan-3 series FPGA?
  3. E

    0-1 exp vlsi job hunters in banglore

    hi..myself EDRIN J KAMIL presently staying at Bangalore.I am an Electronics Engineer i have just completed my PG Diploma in VLSI. Please if anyone know any vlsi job consultancies in Bangalore please mail me at edrinkamil@yahoo.com thanks a lot in adavnce.
  4. E

    doubt:(how clock frequency is divided here?

    This is the code for cpld xc9572 which has the system clock 32 khz.but how is it reduced to 25 hz? entity cntr7seg is port( clk : in std_logic; reset : in std_logic; disp0 : out std_logic; s0 : in std_logic; display : out std_logic_vector(6 downto 0) ); end cntr7seg...
  5. E

    digital interview questions with answers?

    can anyone tell me some websites which gives digital interview qns with solutions? actually im getting many questions but not getting proper solutions.. so can anyone help me?
  6. E

    advantage of using FPGA than microcontrollers based designs?

    the same functionality which we get in fpga we can get in microcontrollers also..rite..? and like fpga in vlsi fiels,what hardware kit is used in embedded systems for verification purposes?
  7. E

    about the usage of the VHDL libraries

    Re: about VHDL actually if u are dealing with inly (0,1) then BIT format is enough. for any designs in vhdl u need 3 libraries called (IEEE,WORK,STD).From this WORK AND STD IS default.you rBIT comes under STD library which is default. So you can define without library declaration. is that...
  8. E

    what is PE in (modelsim 5.8 PE)?

    can anyone tell me the meaning of PE in the versions of modelsim.?
  9. E

    how billions of components are fabricated in IC's ?

    how we manage to fabricate billions of components without short coupling each other? and what is 90 nm?
  10. E

    i2c master mode is done.But can i get anythin in spartan?

    Re: i2c master mode is done.But can i get anythin in spartan simulation is proper.but i want to see it on hardware.the problem is that i have done only master mode. and my SDA and SCL lines are inout. so how will i assign in spartan 3 E?
  11. E

    i2c master mode is done.But can i get anythin in spartan?

    i hav done the master mode in i2c using VERILOG.. but how will i c the output,..? how will i assign pins for "inout"...!?
  12. E

    simple explanation for clock routing?

    what is a clock routing..?
  13. E

    RTL level is actually what//?

    so the HDL code what we write is in which level..? n what is RTL synthesis then...
  14. E

    practical use of set up time and hold time..???

    plz don tel me de definitions of these.....but i don understand the use of these two..? these two acts as a pillar of vlsi design....?
  15. E

    RTL level is actually what//?

    RTL is in HDL coding level or gate level...? what is RTL synthesis..?

Part and Inventory Search

Back
Top