Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ecikin

  1. E

    How to Synch. two Diff clock.

    shift the CLK_INV50KHZ by a delay of 1/4 of the ON time of CLK_50KHZ?
  2. E

    Does modelsim support systemverilog simulation now?

    modelsim questa simulator download questasim6.2
  3. E

    full-duplex Asynchronous serial link - what is it?

    RS232 serial link Rx and Tx simultaneity
  4. E

    RS232C or RS485 for a thousand feet long network

    Question on RS232C you can use RS485
  5. E

    RISC Processor design

    please share cpu code on forum
  6. E

    Free CPU List + website link

    Free CPU List OpenRISC 1000is very good
  7. E

    How to calculate the depth of FIFO and what are the designs contraints for it?

    Depth of FIFO Fifo size = Size to be buffered = B - B * Frd / (Fwr* Idle_cycle _rd ),How to calculate "B"?
  8. E

    Looking for an IC with single flipflop

    single flipflop is it a common FF?
  9. E

    system verilog verification environment

    vmming a systemverilog you can read AVM
  10. E

    How does the buffer reduce delay ?

    Buffer Rabaey's book is very good

Part and Inventory Search

Back
Top