Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by EceWoman

  1. E

    Noob's question about sensitivity list and timing of signals

    Hello, I am a total noob in VHDL/Verilog so I ll need your valuable help. I am trying to design a 2x2 ethernet switch like this : https://github.com/kc285/ethernet/tree/master/SystemVerilogReference/projects/ethernet I have only one clock clk, and I want to have a syncrhonous start of packet A...
  2. E

    process sensitivity list problem in FSM (VHDL)

    well, I tried the second solution but it works only for synchronously changeable input signals. I had to add a " if (state'event) then.... end if; " in the process " process(state,call,cncl)" . Do you find this correct ? Looking at most of the tutorials, people use the second solution but I...
  3. E

    process sensitivity list problem in FSM (VHDL)

    Hello! I'm new in VHDL programming and I have a problem understanding sensitivity list. So, I was trying to solve an exercise (9.14 from Frank Vahid's book) and tried to implement the FSM in the picture. I wrote the following that didn't work - I won't copy the whole code but the specific...

Part and Inventory Search

Back
Top