Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by disney

  1. D

    The difference between Virtuoso-XL and Virtuoso design flow

    Re: virtuoso---_XL There are lot of differences b/w viruoso and virtuoso-XL. Virtuoso-XL is connectivity drivn, where in your layout is created from schematic, at the same time you can cross-highlight and probe the nets/devices/pins b/w sch and layout. It has advanced features such as Tx...
  2. D

    how to import gds2 file into virtuoso layout editor

    import stream map file You can use command line "strmin". strmin -help : Usage: strmin -library <destination library> -strmFile <input stream file> [-runDir] <run directory> [-logFile] <output log file...
  3. D

    how to place & route in CADENCE?

    Yes you can, after the placement and routing you can do a DEFOUT and DEFIN in encounter OR in OA you can save the design in Virtuoso and oaIn in encounter.... its very easy.
  4. D

    P&R Interview Questions

    What is OCV analysis ? can you please explain.... Thanks Sidd
  5. D

    What tools are needed for full ASIC flow?

    Re: Encounter Yes Encounter covers the full flow, as said you may need caliber for sign-off DRC. Also you may need additional licences of CeltIC for signal integrity.
  6. D

    SOC Encounter: .v file is a verilog or synthesized verilog?

    Re: SOC Encounter Yes its synthesized verilog netlist
  7. D

    P&R Interview Questions

    Friends, I have 2 interviews in next week, one with Broadcom and another with AMD for P&R position. I have about 8 years expierence mainly in library development , but have good knowledge of P&R (no practical experience). This is the firt interview for me in P&R , Can you share some of the...
  8. D

    WHICH ONE IS THE BEST EDA TOOLS FOR ASIC DESIGN FLOW?

    I think Cadence has everything you looking for ASIC.
  9. D

    which is the best tool for CLOCK TREE SYNTHESIS-(CTS)

    h**p://vlsicad.ucsd.edu/courses/ece260b-w04/labs.php
  10. D

    Books on how to take a design from floorplanning to GDSII

    Re: regd : backend flow Yes, There is one by Smith which is the best .
  11. D

    Problem with exporting CDL with Cadence IC5141

    Re: IC5141 CDL out help Call your Cadence AE, He will help you. We had the same issue , one phone call solved ....
  12. D

    What books should I read as a newbie in ASIC design?

    Re: Newbie smith is the best book to start
  13. D

    [help] about ic5141 dracula

    you probably have checked the licence... From the log it looks like you didn't check in the licence... call Cadence AE ASAP

Part and Inventory Search

Back
Top