Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by digital design

  1. D

    problem in access one bit of array

    yes, exactly ... It is my error. Many thanks.
  2. D

    problem in access one bit of array

    Sorry, I can't find out your mean... Do you mean my code is false ?
  3. D

    problem in access one bit of array

    Hi every body I have bellow code: -- Four-bits divider library IEEE; use IEEE.std_logic_1164.all; entity Divider_nBits is generic(N : integer :=4); port ( divisor : in std_logic_vector(N-1 downto 0); -- divisor dividend : in std_logic_vector(2*N-2 downto 0); --...
  4. D

    script for power stimation in primw power

    Hi Does any body have complete scripts to estimate power with Prime power ? I want to use vcd file for power estimation. which files should be used except vcd file?
  5. D

    vcd file warning in primw power

    Hi every body I have this warning in prime power tool: warning:The net "reg" in not covered by VCD file can every body help me for solving it? why I see this warning? is it important?
  6. D

    power gating in prime power

    Hi every body How can I implement power gating technique in prime power tool? who can help me about estimating power in prime power?
  7. D

    DRAM design and simulation

    Many thanks for your help I use ordinary transient simulation and vpwl or vpulse voltage source to generate digital sequence. I use tsmc's library. I need to help to design control logic( for reading and writing ). Can you help me in this way? or introduce a reference?
  8. D

    Define matrix with matrix entry in Matlab

    Hi everybody I want to define a matrix in Matlab that every its entry is a matrix 3×1. How can I do it?
  9. D

    Measuring power consumption

    Thanks for your guidance. I use Cadence tool for simulation. For power measurement I measure average current of supply voltage and multiply it by value of supply voltage. ( P= Vdd * I ) Average current is different in different time intervals. Now I want to know what time interval is suitable...
  10. D

    DRAM design and simulation

    Hi all, I am trying to simulate a DRAM cell using Cadence. I create the DRAM cell that consist of a transistor and a capacitor. Large DRAMs are divided into multiple subarrays. There are some wordlines and bitlines in the subarray for controlling write and read mechanism. I have studied VLSI...
  11. D

    Measuring power consumption

    Hi everybody I want to measure and compare power consumption of digital modules with different architectures. For example some counters with different transistor level structures. For fairly compare, I must simulate all of them in the same conditions. Can anyone help me about these...
  12. D

    Ring oscillator design

    Thanks for your guidance. I don't know how I should split up the chain and force it to implement two inverter chains far apart! I don't know how I should set constraint!! I guest Synplify tool optimize circuit and remove all buffers and inverters! I should force it to keep all of them but I...
  13. D

    Ring oscillator design

    Hi I need to design a ring oscillator on FPGA. I create it by a chain of inverters and one AND gate for reset oscillation. There is no problem in simulation but when I program FPGA, it doesn't work and I don't know why!! I use IGLOO Actel FPGA. Please guide me. thanks
  14. D

    Flash Freeze mode of IGLOO nano kit

    Hi everybody Who can help me about Flash*Freeze mode of IGLOO nano Kit? The Actel IGLOO and ProASIC families of FPGA devices are based on Actel nonvolatile flash technology and single-chip ProASIC3 FPGA architecture. The Flash*Freeze technology used in IGLOO and ProASIC3L devices enables...

Part and Inventory Search

Back
Top