Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by DERNIB

  1. D

    Frequency multiplier using VHDL

    library ieee; use ieee.std_logic_1164.all; entity F2 is port (fi : in std_logic; -- Input signal fi f0 : out std_logic); -- fo = 2*fi end F2; architecture behav of F2 is signal q,clk:std_logic; begin process(fi) begin if rising_edge(clk) then q<= not q; end if; clk <= not (q xor fi); f0 <=...

Part and Inventory Search

Back
Top