Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by deepanwita@gmail.com

  1. D

    spartan 3E verilog code for analog to digital conversion

    sir, r u complete adc interface in spartan 3e?? if u complete the adc can you help me to do the interfacing of adc in spartan 3e?? can you tell me the procedure to check the out put of the adc in led or through ChipScope Pro 11.1 Software after burn the program in spartan 3e kit...
  2. D

    Interface on board ADC to Spartan 3E

    sir, i upload my complete xilinx ise 11.1 project .take it pls. also tell me the procedure to check the out put of the adc in led or through ChipScope Pro 11.1 Software after burn the program in spartan 3e kit board................and give the vhdl code of the spartan 3e adc interfacingplsif it...
  3. D

    Interface on board ADC to Spartan 3E

    sir, i upload my complete xilinx ise 11.1 project .take it pls. pls help me thanking you
  4. D

    spartan 3E verilog code for analog to digital conversion

    can you tell me the procedure to check the out put of the adc in led or through ChipScope Pro 11.1 Software after burn the program in spartan 3e kit board................and give the vhdl code of the spartan 3e adc interfacing ...................plz help me... its...
  5. D

    Interface on board ADC to Spartan 3E

    sir, i post my code. i download this code in spartan 3e. but when i try to see the output in led or through ChipScope Pro 11.1 Software,i cant see the output. is there any error in my code? i cant find the error of my code. pls send me the procedure of checking the output of the adc.if the code...
  6. D

    pin configaration for spatan 3e

    sir, while working with plane ahead in xillinx 11.1 i am assigning pin numbers for a particular program the pin numbers in i/o bank is appearing as i/o pin. does this mean, all can work as both input and output? please suggest me because when i am checking through chip-scope-pro some of the...
  7. D

    ADC on SPARTAN 3E Starter Board

    But this code has no error, the output (as I can see on the leds) can not see, also when I connect the input from the board jp9 pin, give 3.3 volt supply nothing happens. please help me how i see the o/p in led..........its very urgent................
  8. D

    Interface on board ADC to Spartan 3E

    sir, I am trying to interface with the ADC on my Spartan 3E starter board. i canot see the o/p in the led. i give the i/p from jp9(on board spatarn 3e)......pls sir help me to find out the error or problem my code............or process of to see the o/p of the adc...below i paste my...
  9. D

    Interface on board ADC to Spartan 3E

    sir, thankssssssssss for your advice.......................now not necessary...............i try to solve myself.................When i get stuck with a specific problem - come back here.............:-(
  10. D

    Interface on board ADC to Spartan 3E

    sir, thank you..................i get the datasheet of adc(ADC-318 - 8-Bit, 120MHz and 140MHz Full-Flash A/D Converter - List of Unclassifed Manufacturers) then pls sir help me how i implement it.....................and give me the vhdl code or idea........................its urgent...
  11. D

    Interface on board ADC to Spartan 3E

    sir, i want to interface on board ADC to spartan 3E startkit with computer inbuilt signal, using VHDLcode............... .........If any one have it's VHDL or Verilog code please give me..........if any document for implementing this ........So please...

Part and Inventory Search

Back
Top