Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by crazyk

  1. C

    Verilog code error: unable to determine top module

    verilog first code I think good coding style is more important
  2. C

    question abt principle of DA FIR

    I want to design a FIR filter using DA structure,but i'm not familiar with principle of DA FIR. Plz give me some suggestions or links abt DA FIR structure, thx!!!
  3. C

    Help me implement FFT on FPGA using Verilog

    FPGA and Verilog maybe FFT IP is suitable for u
  4. C

    Linking of two FPGA .

    I think maybe choosing bigger FPGA is the simplest and cheaper way.
  5. C

    Help me write a code on Spartan-3e board

    spartan-3e help I think u need configuration PROM and download cable, u could download below document and find out u chip **broken link removed**

Part and Inventory Search

Back
Top