Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Code_Nerd

  1. C

    Problem with VHDL code for 74LS192..

    Thankyou for your replies.. Have it sorted out now :)
  2. C

    Problem with VHDL code for 74LS192..

    Hello, I am new to VHDL programming and am having trouble with my code for a 74LS192. The problem lies with this device having 2 clocks I think? Anyway my code is below, could you please point out any areas where I have gone wrong if possible? Thankyou library ieee; library cypress; use...

Part and Inventory Search

Back
Top