Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by cmkastn

  1. C

    How do I translate this code to Verilog?

    Verilog (and VHDL) are not programming languages. They are hardware description languages. There are no constructs in either language for normalization, calculating means and variances, etc. etc.
  2. C

    configuration file in an FPGA

    It's generated after you build (synthesize, place & route) your design using Quartus, Xilinx ISE, or whatever tool you use. For Altera FPGAs, the file will have a .sof extension... I forget what the extension is for Xilinx. In a lot of situations, the programming is done via JTAG. You'll need...
  3. C

    Verilog: Illegal reference to net

    I'm a VHDL guy, and right now I'm working on someone else's verilog. I'm trying to initialize a memory to all 0's for simulation purposes, and I keep getting an error: Illegal reference to net "k". Here's the code: reg [data_bits-1:0] main_memory_0 [0:mem_sizes]; reg...

Part and Inventory Search

Back
Top